Statistically rigorous regression modeling for the microprocessor design space

Citation:

B Lee and David Brooks. 6/18/2006. “Statistically rigorous regression modeling for the microprocessor design space.” ISCA-33: Workshop on Modeling, Benchmarking, and Simulation.

Abstract:

Regression models enhance existing techniques in detailed microarchitectural simulation by reducing the number of required simulations and using simulation data more efficiently to identify trends and trade-offs. We present a rigorous derivation of such models for microprocessor performanceandpowerprediction, emphasizing the need to apply domain-specific knowledge when performing statistical inference. In particular, we propose sampling observations uniformly at random from a large design space, discuss approaches for identifying statistically significant predictors, and detail strategies for effectively modeling predictor interaction and non-linearity. The resulting models enable computationally efficient statistical inference, requiring the simulation of only 1 in every 5 million points of a joint microarchitecture-application design space while achieving median prediction error rates as low as 4.1 percent for performance and 4.3 percent for power.
Last updated on 05/03/2022