Roughness of microarchitectural design topologies and its implications for optimization

Citation:

Benjamin Lee and David Brooks. 10/24/2008. “Roughness of microarchitectural design topologies and its implications for optimization.” In 2008 IEEE 14th International Symposium on High Performance Computer Architecture, Pp. 240–251. IEEE. Publisher's Version

Abstract:

Recent advances in statistical inference and machine learning close the divide between simulation and classical optimization, thereby enabling more rigorous and robust microarchitectural studies. To most effectively utilize these now computationally tractable techniques, we characterize design topology roughness and leverage this characterization to guide our usage of analysis and optimization methods. In particular, we compute roughness metrics that require high-order derivatives and multi-dimensional integrals of design metrics, such as performance and power. These roughness metrics exhibit noteworthy correlations (1) against regression model error, (2) against non-linearities and non-monotonicities of contour maps, and (3) against the effectiveness of optimization heuristics such as gradient ascent. Thus, this work quantifies the implications of design topology roughness for commonly used methods and practices in microarchitectural analysis. 
Last updated on 04/29/2022