Power, thermal, and reliability modeling in nanometer-scale microprocessors

Citation:

David Brooks, Robert Dick, Russ Joseph, and Li Shang. 5/2007. “Power, thermal, and reliability modeling in nanometer-scale microprocessors.” Micro, IEEE, 27, 3, Pp. 49–62. Publisher's Version

Abstract:

System integration and performance requirements are dramatically increasing the power consumptions and power densities of high-performance microprocessors. High power consumption introduces challenges to various aspects of microprocessor and computer system design. It increases the cost of cooling and packaging design, reduces system reliability, complicates power supply circuitry design, and reduces battery life. Researchers have recently dedicated intensive effort to power-related design problems. Modeling is the essential first step toward design optimization. In this article, the power, thermal and reliability modeling problems are explained and recent advances in their accurate and efficient analysis are surveyed.
Last updated on 05/02/2022