Latency adaptation for multiported register files to mitigate the impact of process variations

Citation:

Xiaoyao Liang and David Brooks. 2006. “Latency adaptation for multiported register files to mitigate the impact of process variations.” Workshop on Architectural Support for Gigascale Integration (ASGI-06, held in conjuction with ISCA-33).

Abstract:

Design variability due to die-to-die and within-die process variations has the potential to significantly reduce the maximum operating frequency and the effective yield of high-performance microprocessors in future process technology generations. This variability manifests itself by increasing the frequency variance and decreasing the mean frequency of fabricated chips. In this paper we develop a model for the impact of variability on the performance of multiported SRAM-based structures such as physical register files which are key architectural components that may encounter variability problems. We find that naively resizing or increasing the access latency of these performance critical datapath resources can have frequency benefits, but may incur a significant IPC loss that limits overall system performance. We propose an extension to latency adaptation called port switching which more efficiently exploits the technique to remedy the IPC loss. We find that even under a conservative, worst case study, 18 % mean frequency improvement with less than 5 % IPC loss is possible for the 65nm technology node. Finally, we contrast the impact of die-to-die and within-die variations on chip performance and demonstrate that the proposed technique can compensate the frequency loss mainly due to within-die variations.

Last updated on 05/03/2022