Ivory: Early-stage design space exploration tool for integrated voltage regulators

Citation:

An Zou, Jingwen Leng, Yazhou Zu, Tao Tong, Vijay Reddi, David Brooks, Gu Wei, and Xuan Zhang. 6/18/2017. “Ivory: Early-stage design space exploration tool for integrated voltage regulators.” In Proceedings of the 54th Annual Design Automation Conference 2017, Pp. 1–6. Austin, TX. Publisher's Version

Abstract:

Despite being employed in burgeoning efforts to improve power delivery efficiency, integrated voltage regulators (IVRs) have yet to be evaluated in a rigorous, systematic, or quantitative manner. To fulfill this need, we present Ivory, a high-level design space exploration tool capable of providing accurate conversion efficiency, static performance characteristics, and dynamic transient responses of an IVR-enabled power delivery subsystem (PDS), enabling rapid trade-off exploration at early design stage, approximately 1000× faster than SPICE simulation. We demonstrate and validate Ivory with a wide spectrum of IVR topologies. In addition, we present a case study using Ivory to reveal the optimal PDS configurations, with underlying power break-downs and area overheads for the GPU manycore architecture, which has yet to embrace IVRs.
Last updated on 04/23/2022