Instruction-driven clock scheduling with glitch mitigation

Citation:

Gu Wei, David Brooks, Ali Khan, and Xiaoyao Liang. 8/11/2008. “Instruction-driven clock scheduling with glitch mitigation.” In Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08), Pp. 357–362. ACM. Publisher's Version

Abstract:

Instruction-driven clock scheduling is a mechanism that minimizes clock power in deeply-pipelined datapaths. Analysis of realistic processor workloads shows a preponderance of bubbles persist through pipelines like the floating point unit. Clock scheduling ostensibly adapts pipeline depth with respect to bubbles in the instruction stream without performance loss. Unfortunately, shallower pipelines (i.e. longer pipe stages) are prone to larger amounts of glitches propagating through logic, increasing dynamic power. Experimentally measured results from a 130 nm FPU test chip with flexible clocking capabilities show a super-linear increase in glitch-induced dynamic power for shallower pipelines. While higher glitch power can severely diminish the power savings offered by clock scheduling, judicious clocking of intermediate stages offers glitch mitigation to recover power savings for worst-case scenarios. Detailed analysis of clock scheduling applied to a FPU in a POWER4-like processor running realistic workloads shows an average net power savings of 15% compared to an aggressively clock-gated design.
Last updated on 04/29/2022