%0 Journal Article %D 2008 %T Design and Test Strategies for Microarchitectural PostFabrication %A Xiaoyao Liang %A Benjamin Lee %A Gu Wei %A David Brooks %X

Process variations are a major hurdle for continued technology scaling. Both systematic and random variations will affect the critical delay of fabricated chips, causing a wide frequency and power distribution. Tuning techniques adapt the microarchitecture to mitigate the impact of variations at post-fabrication testing time. This paper proposes a new post-fabrication testing framework that accounts for testing costs. This framework uses on-chip canary circuits to capture systematic variation while using statistical analysis to estimate random variation. We derive regression models to predict chip performance and power. These techniques comprise an integrated framework that identifies the most energy efficient post-fabrication tuning configuration for each chip.

%G eng %U https://doi.ieeecomputersociety.org/10.1109/ICCD.2009.5413170