%0 Conference Paper %B High Performance Computer Architecture, 2007. HPCA 2007. IEEE 13th International Symposium on %D 2007 %T Illustrative design space studies with microarchitectural regression models %A Benjamin Lee %A David Brooks %X We apply a scalable approach for practical, comprehensive design space evaluation and optimization. This approach combines design space sampling and statistical inference to identify trends from a sparse simulation of the space. The computational efficiency of sampling and inference enables new capabilities in design space exploration. We illustrate these capabilities using performance and power models for three studies of a 260,000 point design space: (1) Pareto frontier analysis, (2) pipeline depth analysis, and (3) multiprocessor heterogeneity analysis. For each study, we provide an assessment of predictive error and sensitivity of observed trends to such error. We construct Pareto frontiers and find predictions for Pareto optima are no less accurate than those for the broader design space. We reproduce and enhance prior pipeline depth studies, demonstrating constrained sensitivity studies may not generalize when many other design parameters are held at constant values. Lastly, we identify efficient heterogeneous core designs by clustering per benchmark optimal architectures. Collectively, these studies motivate the application of techniques in statistical inference for more effective use of modern simulator infrastructure %B High Performance Computer Architecture, 2007. HPCA 2007. IEEE 13th International Symposium on %I IEEE %C Phoenix, Arizona, USA %P 340–351 %G eng %U https://doi.org/10.1109/HPCA.2007.346211