%0 Conference Paper %B 2008 IEEE 14th International Symposium on High Performance Computer Architecture %D 2008 %T System level analysis of fast, per-core DVFS using on-chip switching regulators %A Wonyoung Kim %A Meeta Gupta %A Gu Wei %A David Brooks %X Portable, embedded systems place ever-increasing demands on high-performance, low-power microprocessor design. Dynamic voltage and frequency scaling (DVFS) is a well-known technique to reduce energy in digital systems, but the effectiveness of DVFS is hampered by slow voltage transitions that occur on the order of tens of microseconds. In addition, the recent trend towards chip-multiprocessors (CMP) executing multi-threaded workloads with heterogeneous behavior motivates the need for per-core DVFS control mechanisms. Voltage regulators that are integrated onto the same chip as the microprocessor core provide the benefit of both nanosecond-scale voltage switching and per-core voltage control. We show that these characteristics provide significant energy-saving opportunities compared to traditional off-chip regulators. However, the implementation of on-chip regulators presents many challenges including regulator efficiency and output voltage transient characteristics, which are significantly impacted by the system-level application of the regulator. In this paper, we describe and model these costs, and perform a comprehensive analysis of a CMP system with on-chip integrated regulators. We conclude that on-chip regulators can significantly improve DVFS effectiveness and lead to overall system energy savings in a CMP, but architects must carefully account for overheads and costs when designing next-generation DVFS systems and algorithms. %B 2008 IEEE 14th International Symposium on High Performance Computer Architecture %I Ieee %C Salt Lake City, UT, USA %P 123–134 %G eng %U https://doi.org/10.1109/HPCA.2008.4658633