TY - CONF T1 - Implementing a hybrid SRAM/eDRAM NUCA architecture T2 - High Performance Computing (HiPC), 2011 18th International Conference on Y1 - 2011 A1 - Javier Lira A1 - Carlos Molina A1 - David Brooks A1 - Antonio Gonzalez AB - Advances in technology allowed for integrating DRAM-like structures into the chip, called embedded DRAM (eDRAM). This technology has already been successfully implemented in some GPUs and other graphic-intensive SoC, like game consoles. The most recent processor from IBM ® , POWER7, is the first general-purpose processor that integrates an eDRAM module on the chip. In this paper, we propose a hybrid cache architecture that exploits the main features of both memory technologies, speed of SRAM and high density of eDRAM. We demonstrate, that due to the high locality found in emerging applications, a high percentage of data that enters to the on-chip last-level cache are not accessed again before they are evicted. Based on that observation, we propose a placement scheme where re-accessed data blocks are stored in fast, but costly in terms of area and power, SRAM banks, while eDRAM banks store data blo cks that just arrive to the NUCA cache or were demoted from a SRAM bank. We show that a well-balanced SRAM / eDRAM NUCA cache can achieve similar performance results than using a NUCA cache composed of only SRAM banks, but reduces area by 15% and power consumed by 10%. Furthermore, we also explore several alternatives to exploit the area reduction we gain by using the hybrid architecture, resulting in an overall performance improvement of 4%. JF - High Performance Computing (HiPC), 2011 18th International Conference on PB - IEEE CY - Bengaluru, India UR - https://doi.org/10.1109/HiPC.2011.6152738 ER -